Tcl Source Code

View Ticket
Login
Ticket UUID: 1032805
Title: defer syntax errors to execution time
Type: Bug Version: obsolete: 8.5a2
Submitter: dgp Created on: 2004-09-22 17:13:16
Subsystem: 47. Bytecode Compiler Assigned To: dgp
Priority: 5 Medium Severity:
Status: Closed Last Modified: 2004-09-23 05:18:59
Resolution: Fixed Closed By: dgp
    Closed on: 2004-09-22 22:18:59
Description:
% proc test {} {
            proc if {a b} { uplevel 1 [list set $a $b] }
            set 1 {syntax {}{}}
            if 1 {syntax {}{}}
        }
% test
extra characters after close-brace

The compile time report of the
syntax error prevents this proc
from running, and when it runs,
there's no longer a syntax error.

Here's a patch that defers
syntax error reporting to
execution time.
User Comments: dgp added on 2004-09-23 05:18:59:

File Deleted - 102426: 



File Added - 102465: 1032805.patch

Logged In: YES 
user_id=80530


revised patch supports -errorline
and adds comments and tests.
committing to HEAD.

dgp added on 2004-09-23 00:46:24:

File Added - 102426: 1032805.patch

Logged In: YES 
user_id=80530

revised patch corrects
some refcount issues.

dgp added on 2004-09-23 00:13:16:

File Added - 102421: defer.patch

Attachments: